Monday 29 April 2013

FPGA vs ASIC

FPGA --- Field-Programmable Gate Array
ASIC --- Application-Specified Integrated Circuit
A field-programmable gate array (FPGA) can be purchased off-the-shelf and programmed by the user, whereas an application-specific integrated circuit (ASIC) is manufactured to a customer’s specification. This distinction has not changed since the dawn of both technologies.


Time-to-market and configurability
The configurability of an FPGA is its most priced asset. Its ability to rapidly implement or reprogram the logic for a specific feature, or to modify the functionality that was previously instilled in it, is why designers run after it.



“Even if a vendor has new features to add at a later stage in the released product, he still has the freedom to decide whether to implement that feature in software or hardware based on applicability. Time-to-market for handling change-requests in FPGA is much less than in ASICs,” explains Manisha Mankar, architect—digital design, Robert Bosch Engineering and Business Solutions.

Indeed, this is one of the primary reasons why designers are opting for FPGAs.

“There are two key factors driving the demand for FPGAs today: The programmable imperative, i.e., the inherent capability of an FPGA that allows it to be tailored to the needs of the customer and the insatiable bandwidth requirements of the mobile generation today. Given this, FPGAs are increasingly replacing ASICs and ASSPs for more and more applications across different verticals such as telecommunications, aerospace, medical, automotive and industrial to name a few,” adds Neeraj Varma, director-sales, Xilinx India.


 Performance and power efficiency
While FPGAs used to be selected for lower-speed, less complex or volume designs in the past, today’s FPGAs easily push the performance barrier. With increase in logic density and other features such as embedded processors, DSP blocks and high-speed serial at low price points, FPGAs are an interesting proposition. The industry has shown that high-end FPGAs are growing in volume, handling high-speed applications and complex designs.Earlier, FPGAs were viable only for prototyping or low-density applications. Now they meet the needs of very high-volume applications such as consumer products and other moderate-volume high-density appli-cations as well.
The fact that ASICs are built for specific applications allows them to have a very high density of useful logic gates on the chip and use resources optimally. Hence higher gate count and lower power consumption give ASICs a competitive edge over FPGAs. 

High volume production

For high-volume production, costs associated with building a custom ASIC chip are said to be increasing, especially since technology nowadays is ever more complex. As we move towards advanced nodes, cost considerations multiply exponentially. For instance, the development cost for ASIC at 28nm is 40 per cent more than at 40nm. At 20nm, it is estimated to be 70 per cent over that of 28nm.

Best of both the worlds
While FPGAs are excellent for designing and prototyping digital logic into medium-volume, medium-density applications, their high unit cost makes things difficult. On the other hand, the low unit cost of ASICs is one of the main reasons why these are considered for high-volume manufacturing.

What if there were a way to get the best of both the worlds? Well, designing a new product around FPGA allows design modifications to be quickly made throughout the development process. Once this design is complete and approved for production, the FPGA design can be migrated to an ASIC design and then produced, cutting the production unit cost greatly.

    

0 comments:

Post a Comment